Translate

Wednesday 24 April 2013

A Home Automation System Using Hardware Design Concepts

Today, safety and security is just a click of the appropriate technology away, and with such advancements happening, the security of one’s home must also not be left behind. This project is an example of the use of digital systems design to accomplish that goal, where the security and comfort of a home is the issue at hand.
This device has been modeled such that it takes care of home intrusion detection and avoidance, while it also controls other home environment factors such as temperature and smoke detection. A sequential pattern of controlling the front door, rear door, windows, fire alarm and temperature is followed in a priority order. The solution uses the hardware design system concepts of a state machine to design a Mealy system that is simulated in VHDL using Cadence.
The expected output is achieved in the waveforms of the system, which is in agreement with the theoretical results expected and has realized the objective of controlling the home system.
Source: ASU
Author: Sirisha Vasala
to download
https://technology.asu.edu/files/documents/tradeshow/Dec03/VasalaSirisha.pdf

 

No comments:

Post a Comment