Translate

Wednesday 24 April 2013

http://www.teslacoildesign.com/

Control Strategy in a Centrifugal Separation Process

A new concept for separating yeast from beer has been developed at Alfa Laval in Tumba. The yeast is now continuously fed out from the separator instead of discharged when too much yeast have collected in the separator. The concept makes it possible to save beer which otherwise would have been wasted at discharges. For the concept to be profitable, the density of out yeast must be high enough even though the inlet density is steadily declining, and at the same time have good separation efficiency.
In this study a control strategy has been developed for this high speed centrifugal separation process. Through experimental studies a mathematical model of the separation process could be made. This model was then used for a MPC-controller where the density was controlled by controlling the flows of the process. An implementation of the control strategy was carried out in the process laboratory in Tumba.
The separation was assumed to be good as long as the mass inow was relatively low and the pressure levels were steady. With the MPC-controller it was possible to maintain the density over the set limit in laboratory experiments. It is also shown that a multivariable controller has benefits compared to a single variable controller. Controlling the separation efficiency is deemed possible and is the next step.
Source: KTH
Author: Svensson, Anders
to download full project
http://www.diva-portal.org/smash/get/diva2:570121/FULLTEXT01
 

 

A Home Automation System Using Hardware Design Concepts

Today, safety and security is just a click of the appropriate technology away, and with such advancements happening, the security of one’s home must also not be left behind. This project is an example of the use of digital systems design to accomplish that goal, where the security and comfort of a home is the issue at hand.
This device has been modeled such that it takes care of home intrusion detection and avoidance, while it also controls other home environment factors such as temperature and smoke detection. A sequential pattern of controlling the front door, rear door, windows, fire alarm and temperature is followed in a priority order. The solution uses the hardware design system concepts of a state machine to design a Mealy system that is simulated in VHDL using Cadence.
The expected output is achieved in the waveforms of the system, which is in agreement with the theoretical results expected and has realized the objective of controlling the home system.
Source: ASU
Author: Sirisha Vasala
to download
https://technology.asu.edu/files/documents/tradeshow/Dec03/VasalaSirisha.pdf